Thursday 27 October 2022

Ryzen 3 7300X leak gives us hope that AMD is planning a Zen 4 budget CPU

https://ift.tt/Cwx9Hlk

AMD could have a couple of new Zen 4 processors incoming, one of which is in theory a Ryzen 7 7800X, and the other a Ryzen 3 7300X.

These Zen 4 chips have been spotted on Geekbench by Benchleaks (as VideoCardz reported, though they removed the story shortly after posting), and apparently the 7800X will make the leap to being a 10-core processor, at least if the provided specs are correct. Of course, we must treat this leak with the usual caution that should be applied to any rumor.

See more

The 7800X is seen with 10-cores and 20-threads with boost speeds shown as up to 5.4GHz.

As for the theoretical Ryzen 3 7300X, its Geekbench entry shows that it’s a quad-core CPU with boost of up to 5GHz.

What about the Geekbench results themselves? The 7800X hits 2,097 and 16,163 for single-core and multi-core respectively. That falls a touch short of the existing 7700X in the former, but comfortably outdoes that chip – by around 15% – in the latter as you might expect with a pair of extra cores for the 7800X.

The Ryzen 3 7300X achieves 1,984 and 7,682 for single-core and multi-core, which unsurprisingly leaves it bringing up the rear of the Ryzen 7000 family. It’s not too far off the Ryzen 5 7600X for single-core though, and indeed the latter is only 7% faster. (Bear in mind on both counts for the 7800X and 7300X that these are pre-release processors, so are likely not showing their full performance levels yet).


Analysis: Does a Ryzen 3 CPU for Zen 4 really make sense this early on?

A Ryzen 7800X with 10-cores would seem to be an odd choice to make, perhaps, remembering that the 5800X was a straight 8-core CPU. As we can see from the benchmarks, in this form with two extra cores, it would represent a solid step on from the 7700X and differentiate these processors more, at least in terms of multi-threaded performance.

What more eyes are likely to be on here is the 7300X, and the hopeful prospect that a Ryzen 3 CPU for the Zen 4 generation could be inbound. This is an option that some folks looking to build budget-friendly PCs have been crying out for, and didn’t get with the Ryzen 5000 range. (There was a Ryzen 5 5500 brought out earlier this year, but other than that, no Ryzen 3 silicon, and there are just Ryzen 4000 Zen 2-based chips at the lowest end of the market for AMD).

Will this Ryzen 3 7300X really happen, though? We’re not sure, and certainly there are arguments for staying skeptical here. Releasing such a chip would require AMD to redirect at least some production resources to manufacture it, obviously, and these lower-end products have vanishingly smaller profit margins compared to what’s on the table right now. So, does it really make sense to do so this early in the game for the Ryzen 7000 family?

The other possibility is to use what are basically rejects for beefier chips (with cores disabled down to a quad-core CPU), but yields are so good these days, that problematic silicon such as this has become relatively thin on the ground.

Meaning that if a Ryzen 3 7300X is coming, it most likely wouldn’t be for some time one way or another – enough time to build up the necessary chips – and what’s more, it’d probably be a limited production run too. (Such as the situation with those old budget favorites with Ryzen 3000, the Ryzen 3 3100 and 3300X, which were difficult to get hold of; more so in the latter case).

Furthermore, there’s also the consideration that jumping to Zen 4 is a pricey proposition still with the cost of the required AM5 motherboard (and DDR5 RAM), which again is another argument that any Ryzen 3 offering wouldn’t make much sense as a nearer-term thing (as an affordable chip, but with no similarly affordable mobo to complement it). Longer-term, of course, we’ll see those motherboard prices come down – and lower-end models emerge – for those looking to build a shiny new AMD-powered PC (plus DDR5 is going to drop further according to forecasts, too).

In short, we’d advise any excitement around the 7300X should be tempered with a dose of the likely reality here. But we’re not denying it’s great to see a Ryzen 3 chip floating around at this stage of the game, and the very sighting of the CPU does hold some promise for the future in terms of budget PC builds. Meanwhile, we can of course look forward to cheaper Ryzen 5000 chips as that generation filters towards the exit…



from TechRadar: computing components news https://ift.tt/xuPANQW
via IFTTT

Tuesday 25 October 2022

Intel Raptor Lake CPU surprise gets ruined by Microsoft

https://ift.tt/EeJOq41

What appears to be Intel’s full line-up of Raptor Lake processors has been leaked online courtesy of Microsoft.

We have already seen six 13th-gen CPUs launched – the Intel Core i9-13900K, Core i7-13700K and Core i5-13600K, plus their respective KF versions (‘F’ means no integrated graphics) – and there’ll be another 16 coming, to make 22 processors in total, if this list from Microsoft is correct.

As VideoCardz reports, the Raptor Lake processors have appeared in the software giant’s list of supported Intel chips for Windows 11 22H2, and what’s telling is that the models mentioned directly match another recent leak from motherboard maker Gigabyte.

Again, the Gigabyte list details all the Raptor Lake processors its motherboards will support, and with both of these line-ups matching exactly, it seems a good bet that this is the full range of Intel’s 13th-gen CPUs.

Here’s the full list in all its glory:

  • Core i9-13900KF    
  • Core i9-13900K      
  • Core i9-13900F      
  • Core i9-13900        
  • Core i9-13900T      
  • Core i7-13700KF    
  • Core i7-13700K      
  • Core i7-13700F      
  • Core i7-13700        
  • Core i7-13700T      
  • Core i5-13600KF    
  • Core i5-13600K      
  • Core i5-13600        
  • Core i5-13600T      
  • Core i5-13500        
  • Core i5-13500T      
  • Core i5-13400F      
  • Core i5-13400        
  • Core i5-13400T      
  • Core i3-13100F      
  • Core i3-13100
  • Core i3-13100T

Analysis: A few hints at some specs, but not much

As mentioned, the ‘F’ models are those without integrated graphics, for folks who have discrete GPUs in place, and ‘K’ models are unlocked (for overclocking – and both ‘KF’ means unlocked with no integrated GPU, of course). The ‘T’ models are low-power efforts for enterprise usage, not aimed at consumers in other words.

For the 16 purportedly incoming Raptor Lake processors, what we don’t get is any spec details at all from Microsoft – just the CPU names. The previous Gigabyte list, however, did provide a few scant specs: the base frequency (but sadly not that all-important boost), plus TDP. No core counts were confirmed for the supposedly inbound chips, though.

The full expansion of the Raptor Lake line-up is likely to happen early in 2023, we’d guess, and with this host of new CPUs will come cheaper motherboards, too. Meaning that folks intent on a budget 13th-gen build will have far more affordable options in terms of the Core i5-13500 and 13400, or indeed the Core i3-13100 at the low-end, combined with a B760 motherboard (which again will be considerably more reasonably priced than Z790 models).

There’s a good deal of excitement around the Core i5-13400 in particular regarding the potential for it to offer something very compelling in the value proposition stakes for more budget-friendly builds.



from TechRadar: computing components news https://ift.tt/1CQEaTr
via IFTTT

Friday 21 October 2022

This AMD Ryzen 7000 CPU cooling trick is something you really shouldn’t try at home

https://ift.tt/D0PC9wF

AMD’s Ryzen 7000 processors have come under fire for the design of their integrated heat spreader (IHS), and how it doesn’t help thermals – but there’s a way around this apparently, one that will ensure the chips run a fair bit cooler. However, this is definitely not something we recommend the average user should try (not that they’ll be equipped to anyway).

Why? Well, because it involves taking a shiny new Zen 4 processor and exposing it to a grinding tool. Yes, the solution to the thick IHS for this Ryzen generation – we’ll discuss why it’s beefier later on – is simply to make it thinner by grinding it down.

Obviously this is not something the average PC owner wants to do, but more hardcore types may consider exploring this avenue - and some already have done in the case of JayzTwoCents with the use of expert overclocker Der8auer’s grinding tool – as spotted on Twitter by Andreas Schilling (via Tom’s Hardware).

See more

The result of shaving down the IHS of a Ryzen 9 7950X CPU by 0.8mm proved to be a reduction in temperatures from 94-95C, down to 85-88C, a pretty substantial drop (those were the temps running at 5.1GHz across all-cores for the CPU).


Analysis: The lesser of two evils? Well, not exactly

Essentially, this is an alternative to another risky procedure known as ‘delidding’, where the CPU has the IHS actually removed, which can result in even bigger temperature drops. (Der8auer demonstrated a huge 20C reduction when delidding a 7900X previously, although that was using a special liquid metal thermal grease which is the overclocker’s own custom concoction).

Grinding down the IHS represents a somewhat less risky path – and less fiddly, too, as there’s a lot of extra work in fitting a cooling solution to a delidded (very differently sized) chip – but granted, in both cases, you are voiding your warranty. And unless you really know what you’re doing, you’re running the risk of ruining the CPU as you might imagine when it comes to drastic action like pulling it apart or grinding bits down. Which is why we really wouldn’t recommend this to anyone but expert enthusiasts (who can afford the cost if things go wrong, for that matter).

The whole backdrop to this is that AMD has used a thicker design for the IHS with Zen 4 chips on the AM5 platform (with a new processor socket). This is in order to keep compatibility with new Ryzen 7000 CPUs in terms of existing (AM4 platform) coolers – so folks don’t have to buy a new cooling solution – as the new socket is flatter, meaning the chip sits a little lower (so the thicker IHS makes up for that difference). But that thickness of 1mm extra than usual is somewhat counterproductive for good thermals.

Now, AMD reckons it’s fine for the Ryzen 9 7950X to tick along at temps like 95C, but some enthusiasts beg to differ, hence the controversy. And hence shaving off 0.8mm to bring the IHS back to about its previous pre-Ryzen 7000 size, having the processor run at more like 85C, a level owners are happier about.

As an aside, don’t forget the IHS is there to provide protection for the CPU, and with delidding that represents an extra risk in terms of leaving the die exposed – whereas grinding it down still leaves a protective lid on the chip, as it were.

If you’re worried about your Zen 4 temps – which may, of course, vary from case to case anyway – rather than go this route, it’s a much better and more feasible idea to look at alternative solutions such as using Eco mode settings (in AMD’s Ryzen Master software) to rein in that heat. (Or undervolting is another option, perhaps).



from TechRadar: computing components news https://ift.tt/8mArhy4
via IFTTT

Thursday 20 October 2022

AMD Announces Radeon RDNA 3 GPU Livestream Event for November 3rd

https://ift.tt/CN1OQvE

Following on the heels of AMD’s CPU-centric event back in August, AMD today has sent out a press release announcing that they will be holding a similar event in November for their Radeon consumer graphics business. Dubbed “together we advance_gaming”, the presentation is slated to be all about AMD Radeon, with a focus on the upcoming RDNA 3 graphics architecture and all the performance and power efficiency benefits it will bring. The event is set to kick off on November 3rd at 1pm ET (20:00 UTC), with undisclosed AMD executives presenting details.

Like the Ryzen event in August, next month’s Radeon event appears to be AMD gearing up for the launch of its next generation of consumer products – this time on the GPU side of matters. Back at the start of the summer, AMD confirmed that RDNA 3 architecture products were scheduled to arrive this year, so we have been eagerly awaiting the arrival of AMD’s next generation of video cards.

Though unlike AMD’s CPU efforts, the company has been far more mum about its next-gen GPU efforts. So details in advance on what will presumably be the Radeon RX 7000 series have been limited. The biggest items disclosed thus far are that AMD is targeting another 50% increase in performance-per-watt, and that these new GPUs (Navi 3x) will be made on a 5nm process (undoubtedly TSMC’s). Past that, AMD hasn’t given any guidance on what to expect for performance.

One interesting aspect, however, is that AMD has confirmed that they will be employing chiplets with this generation of products. To what extent, and whether that’s on all parts or just some, remains to be seen. But chiplets are in some respects the holy grail of GPU construction, because they give GPU designers options for scaling up GPUs past today’s die size (reticle) and yield limits. That said, it’s also a holy grail because the immense amount of data that must be passed between different parts of a GPU (on the order of terabytes per second) is very hard to do – and very necessary to do if you want a multi-chip GPU to be able to present itself as a single device.

We’re also apparently in store for some more significant upgrades to AMD’s overall GPU architecture. Though what exactly a “rearchitected compute unit” and “optimized graphics pipeline” fully entail remains to be seen.

Thankfully we should have our answer here in two weeks. The presentation is slated to air on November 29th at 1pm Pacific, on AMD’s YouTube channel. And of course, be sure to check out AnandTech for a full rundown and analysis of AMD’s announcements.



from AnandTech https://ift.tt/hg48Ov6
via IFTTT

Sunday 16 October 2022

Microsoft’s tech to seriously speed up load times for Windows gamers is coming ‘soon’

https://ift.tt/lkKsEIM

Microsoft has announced that a fresh version of DirectStorage will be going out to game developers before the end of 2022, and it’ll come with an important step forward in terms of speeding up loading times with SSDs.

As you may be aware, DirectStorage is the feature first seen on the Xbox which brings faster load times – and better performance loading game assets in big open world titles – and it first arrived for Windows PCs back in March.

What Microsoft has now revealed (hat tip to Tom’s Hardware) is that DirectStorage 1.1, a new version with GPU Decompression tech incorporated, will be here very soon. Although there still aren’t any games that’ll benefit from it (yet – we’ll come back to this obviously rather crucial point).

Microsoft has already told us that DirectStorage (DS) will produce a reduction in load times of up to 40% – for games on fast NVMe SSDs running on Windows 11 – and this new piece of the DS puzzle, GPU Decompression, will offer something in the order of a tripling of loading time performance, the company promises.

Normally, decompression (of compressed game assets, which need to be made smaller due to their hefty size) is run by the CPU, but what Microsoft is doing is switching this grunt work directly to the GPU.

Microsoft explains: “Graphics cards are extremely efficient at performing repeatable tasks in parallel, and we can utilize that capability along with the bandwidth of a high-speed NVMe drive to do more work at once.”

In a Microsoft demo, the company illustrated that when DirectStorage is running with GPU decompression, compared to traditional CPU decompression, “scenes are loading nearly 3x faster and the CPU is almost entirely freed up to be used for other game processes.” (In that demo, the processor only saw 15% maximum usage, by the way, compared to 100% usage when DS wasn’t being used).

Now, bear in mind this is a cherry-picked and ‘highly optimized’ demo (in Microsoft’s own words), but it certainly promises some seriously beefy gains overall, which should see games that support DirectStorage loading – and running – much more smoothly all-round.


Analysis: Forspoken is sadly not forthcoming (still)

It’s worth noting that while DirectStorage is made with superfast NVMe SSDs in mind, it will still work with slower SSDs (and indeed hard disks, to a point); but the effect won’t be nearly as pronounced. The storage speeding tech will also work fine on Windows 10 machines, too, but Windows 11 offers advances on the storage optimization front which again will mean DS offers more impact. (Also, you need a contemporary GPU for DS to work, meaning one with DX12 and Shader Model 6 support).

The main catch still is that despite work on DirectStorage proceeding nicely, there are still no PC games that actually support the tech. We were supposed to be getting the first game to show off DS this month, Forspoken, but it has been delayed to January 2023 (and was already put back before then, so that’s a tad disappointing).

That said, it’s still only a few months away – assuming no further hiccups – but even then, it’s just one game. It’ll doubtless be a while before wider support is adopted among PC game devs, but when it is, this could become a compelling reason to upgrade to Windows 11 for gamers (and equally a good reason to get an NVMe SSD for those who haven’t yet made the leap on the storage front).



from TechRadar: computing components news https://ift.tt/ZhRX8It
via IFTTT

Monday 10 October 2022

Samsung Foundry Outlines Roadmap Through 2027: 1.4 nm Node, 3x More Capacity

https://ift.tt/FOT7WwN

Samsung outlined its foundry business roadmap for the next five years at its Foundry Forum event last week. The company plans to introduce its next generation fabrication technologies in a timely manner and intends to make chips on its 1.4 nm (14 angstroms) manufacturing process by 2027. Also, the company will keep investing in new manufacturing capacity going forward as it strives to strengthen its position in the foundry market.

New Nodes Incoming

Samsung has been introducing new production nodes and/or variants on production nodes every 12 – 18 months for several years now, and plans to keep its rather aggressive pace going forward. Though the company’s roadmap illustrates, fanfare aside, that it is now taking longer to develop new fabrication processes. The company’s second-generation 3 nm-class gate-all-around (3GAP) technology is now set to arrive sometime in 2024. Meanwhile, Samsung Foundry intends to be ready with its 2 nm (20 angstroms) node in 2025, and with its 1.4 nm-branded fabrication process in 2027.

"With the company's success of bringing the latest [3 nm-class] process technology to mass production, Samsung will be further enhancing gate-all-around (GAA) based technology and plans to introduce the 2 nm process in 2025 and 1.4 nm process in 2027," a statement by Samsung reads.

  Chip Fab Roadmaps
Data announced during conference calls, events, press briefings and press releases
HVM Start 2023 2024 2025 2026 2027
Intel Process Intel 3 Intel 20A Intel 18A ? ?
  FET FinFET RibbonFET + PowerVia ? ?
  EUV 0.33 NA EUV 0.55 High-NA EUV
Samsung Process 3GAE 3GAP 2.0 nm 1.4 nm
  FET GAAFET ? ? ?
  EUV 0.33 NA EUV ? ? ?
TSMC Process N3E/N3P N3S/N3X N2 N2?  
  FET FinFET GAAFET GAAFET with backside power delivery (?)
  EUV 0.33 NA EUV ? ? ?

Painting some very broad strokes, compared to those of Intel and TSMC, it seems like TSMC is a little bit more conservative (which is something expected when you are the world's largest contrast maker of microelectronics). Whereas Intel is more aggressive (which is again expected given the company's position in the market of semiconductors). Meanwhile, naming of fabrication processes these days is essentially aspiratory, with little connection to their real physical measures. Which is why comparing different semiconductor companies' roadmaps is an imprecise metric at best.

In addition to new 'general' nodes, Samsung plans to expand its process technology optimization programs for each specific application as well as customized services for customers, the company said.

Meanwhile, one of the things that Samsung notably did not mention in its press release concerning its 1.4 nm node is usage of High-NA equipment. Intel, for its part, plans to use High-NA starting its Intel 18A node (in 2024), where it will eventually be supplanting the EUV multi-patterning used on initial 18A production. 

According to Samsung, the adoption of new process technologies and demand for new fabrication processes will be driven by already known mega trends — AI, autonomous vehicles, automotive applications in general, HPC, 5G, and eventual 6G connectivity. Keeping in mind that Samsung is a large industrial conglomorate with many divisions, many of applications that it intends to address with future process nodes are its own.

The company disclosed last week that its LSI Business (chip development division) currently offers around 900 products, which include SoCs, image sensors, modems, display driver IC (DDI), power management IC (PMIC), and security solutions. Going forward the company plans to put even more efforts into development of performance-demanding IP, including CPU and GPU, by working closer with its industry partners (which presumably includes Arm and AMD).

Expanded Production Capacity

Offering state-of-the-art production technologies is good, but to produce those advanced chips in sufficient quantities to meet market demands is equally important. To that end, Samsung announced that the company will also continue to invest heavily into building out additional production capacity. In the recent years Samsung's semiconductor capacity CapEx was around $30 billion a year and it does not look like the firm plans to put a cap on its spendings (though it is noteworthy that it does not disclose how much money it intends to spend).

Samsung plans to expand its production capacity for its 'advanced' process technologies by more than three-fold by 2027. While the companies is not naming the nodes it considers "advanced", we would expect a significant addition of its EUV capacity in the next five years – especially as more ASML EUV machines become available. Meanwhile, the company will adopt 'Shell-First' tactics in its expansion and construct buildings and clean rooms first, and add equipment later on depending on market conditions.

Samsung's new fab under construction near Taylor, Texas, will be one of the company's main vehicles to add capacity in the coming years. The shell-first site will start to produce chips in 2024. And as the company adds new tools to the fab and build new phases, production capacity of the site will further increase. 

Source: Samsung



from AnandTech https://ift.tt/BhTJ8Uj
via IFTTT

Friday 7 October 2022

Intel Raptor Lake flagship CPU hits a huge 8.2GHz overclock

https://ift.tt/GmqAsEY

Intel’s Raptor Lake flagship has had another seriously impressive overclock applied, one that’s even faster than the leaked 8GHz feat we witnessed last month.

The difference this time is that this is an official overclock of the Core i9-13900K by an expert in the field, ‘Splave’ (Allen Golibersuch), who managed to get the CPU to run at 8.2GHz.

As Tom’s Hardware reports, this was part of Intel’s Creator Challenge and as you might imagine, Splave did not use traditional cooling, but rather liquid nitrogen (as is invariably the case, or similarly exotic cooling that can’t be done at home, and is only good for a brief period of operation).

Splave managed to push Alder Lake’s equivalent, the 12900K, to 7.6GHz, so with this overclock to 8.2GHz, the Raptor Lake flagship is 8% faster, even before it’s released.


Analysis: A tempting proposition for PC speed demons

It’s exciting times for PC tinkerers and enthusiasts, then. The overclocking potential for Raptor Lake is the strongest seen for a range of Core processors in ages, with the last time an Intel chip crested the 8GHz mark being over a decade ago.

The fastest in recent memory was the Core i9-10900K hitting 7.7GHz, and that’s obviously been well and truly beaten already. The thing to remember is, after the 13900K has been released, it’ll inevitably be pushed to greater heights. For example, the 12900K topped out at 6.8GHz in its overclocking capabilities when first released, but that was later beaten by the aforementioned 7.6GHz.

In theory, then, we could well see the 13900K storm into 8.5GHz plus territory eventually at the hands of experts using liquid nitrogen. At that point, the CPU will be challenging the fastest speeds ever reached by a desktop processor (over 8.7GHz, and those very fastest chips are older models from AMD, it’s worth noting).

While the average PC owner is obviously not going to see performance anything like this, it suggests more normal overclocking – using liquid cooling perhaps, or a good air cooler – will produce impressive results too. And indeed there has been a leak showing the 13900K purportedly running at a mighty 6.5GHz (on a single-core) with a standard liquid cooling solution (add your own salt, maybe a few shakes here, as ever with the rumor mill).

All signs point to a very promising level of overclocking performance for Raptor Lake, which could be a potent lure for enthusiasts, and a factor that could worry AMD. The new Zen 4 flagship, AMD’s Ryzen 9 7950X, has hit closing on 7.5GHz thus far (again with liquid nitrogen), so the 13900K is almost bang-on 10% better than that at this point in the overclocking wars. A gap that is unlikely to be closed, of course, as time progresses…



from TechRadar: computing components news https://ift.tt/6oxQvT3
via IFTTT

Wednesday 5 October 2022

Nvidia RTX 4090 GPU shows blistering frame rates in Overwatch 2

https://ift.tt/hHWXwrI

Nvidia’s RTX 4090 can achieve over 500 frames per second (fps) in Overwatch 2 at 1440p resolution, a ridiculously fluid level of gameplay – with a notable caveat we’ll come back to later on the monitor front – Team Green itself has told us.

To be precise, Nvidia’s own benchmarking shows the shooter (which was released just yesterday) running at 507 fps on average with the RTX 4090, and this was at 1440p with max graphics settings (the test rig paired the GPU with an Intel Core i9-12900K CPU, by the way).

As for the RTX 4080 16GB, that achieved 368 fps, and the lesser RTX 4080 with 12GB (cough, RTX 4070, ahem) still managed 296 fps.

For last-gen comparisons, the RTX 3080 hit 249 fps, and the RTX 3070 weighed in with 195 fps, with the RTX 3060 achieving 122 fps, all with the same rig and settings of course.

Nvidia recommends the RTX 3060 for those who want to get 144 fps in 1080p (Full HD as opposed to 1440p), and the RTX 3080 Ti for those looking at 360 fps again at 1080p.


Analysis: The advantage of resolution as well as superfast fps

We normally think of Nvidia’s flagships like the RTX 4090 as graphics cards built to tackle high-resolution gaming (4K, or even 8K with the new Lovelace top dog), but of course competitive gamers want to go the other route – not more detail, but more frames is the priority. That provides the smoothest possible gameplay experience.

Seeing 500 frames per second being broken in Overwatch 2 is quite a feat, remembering that this isn’t 1080p resolution either – it’s a step up from that at 1440p, which gives you far better image quality (and sharpness) than Full HD. And as Nvidia points out, going to 1440p can have some advantages over 1080p in terms of pinpoint aiming like a headshot, noting: “Our research found that 1440p 27-inch displays can improve aiming by up to 3% over traditional 1080p 24-inch displays, when aiming at small targets, such as an enemy’s head.”

Add your own seasoning, naturally, and there are new G-Sync monitors of the 27-inch 1440p variety in the pipeline and arriving soon, from Asus, with a 360Hz refresh rate. So the RTX 4080 16GB will be able to fully drive and exploit that refresh rate as the above benchmarks show (360Hz meaning it can display 360 fps).

Obviously, don’t forget that you need a high-end monitor with a superfast refresh rate to actually display the staggering amount of fps generated by these GPUs in the presented scenarios for Overwatch 2.

And yes, there is a 500Hz monitor coming from Asus – capable of displaying 500 fps –  though we’re not sure when, and at any rate, it’s 1080p and likely to cost an arm and a leg (and possibly another arm). There are 480Hz models supposedly due next year, too, but these kind of monitors are going to be the province of pro esports gamers who are willing to spend whatever it takes to get even the slightest competitive edge.

As a final note, comparing the relative price of the two RTX 4080 models and performance here, you’re paying a third more for the top-tier (16GB) 4080, but getting a performance boost of a quarter versus the 12GB variant. So, this does make it seem like Nvidia has pushed pricing a little harder with the faster RTX 4080, but obviously we can’t make comparisons like this on the basis of a single game – it’s more an interesting observation than anything.

We’ll need full reviews of both RTX 4080 versions to draw conclusions on this front, naturally, though it’d be no surprise to see the lower-tier being the value champ for overall price/performance ratio.

Via VideoCardz



from TechRadar: computing components news https://ift.tt/DvJcGud
via IFTTT

Tuesday 4 October 2022

AMD Ryzen 7000 gives Windows users the perfect reason to move to Linux

https://ift.tt/p2z4eCb

AMD Ryzen 7000 processors are now on sale, and those running the silicon in Linux PCs will apparently find the CPUs are faster with security mitigations turned on, which is counterintuitive for sure.

This is according to a report from Phoronix.com which tested out Linux 6.0 with the new Zen 4 chips – specifically the flagship Ryzen 9 7950X – and the mentioned security functionality, which consists of mitigations against the Spectre vulnerability (on multiple fronts).

Of course, turning on such defenses comes with the expectation that you may have a slight performance hit – or a heftier one, or perhaps at best your system might be pretty much unaffected. But what you definitely wouldn’t expect is that it’d run faster with the mitigations applied.

So, with the security mitigations turned off, a route some folks might take to chase better performance, the Linux system is actually being slowed down – while leaving it more vulnerable to exploitation at the same time. A lose-lose situation if ever there was one.


Analysis: Here comes the caveat, but it’s a very minor one

Advantage Linux, then? Well, yes, but there is a caveat here – as is usually the case – namely that the Ryzen CPU was faster with the defenses turned on in the majority of cases, but some synthetic benchmarks were quicker with mitigations off. Not many, though, and there’s no real argument as to whether or not you should turn on the mitigations.

Overall, the Ryzen 9 7950X was 3% faster on average with the mitigations enabled over a large swathe of tests, with particularly big boosts for browser-based apps. And as mentioned, you’ve got a better protected PC, too, so it’s the very definition of a no-brainer here.

Phoronix notes that it hasn’t conducted a deeper dive to find out the reason this is the case, mainly because you really shouldn’t be turning off security measures like this on your PC anyway, for obvious reasons. But it’s certainly an interesting revelation, and AMD would appear to get full marks here for preparing Zen 4 very well around applying these defenses to ensure performance isn’t hampered (and indeed, the opposite is the case).

Via Tom’s Hardware



from TechRadar: computing components news https://ift.tt/vUBg7px
via IFTTT

AMD Ryzen 7000 is barely out but next-gen Zen 5 CPUs already spotted

https://ift.tt/p2z4eCb

AMD’s next-gen Zen 5 processors have already been spotted, or at least we’ve a sighting of the ground being prepared for them, even though new Ryzen 7000 CPUs have only been on sale for a week.

VideoCardz reports that what should be the Ryzen 8000 range has had support added in HWiNFO (a hardware diagnostic and monitoring tool for PCs), specifically in the ‘upcoming changes’ log for the new version of the utility.

HWiNFO states: “Added early support of some AMD Zen 5 families.”

As VideoCardz points out, this essentially means that the devs of this software are now aware of some of the first IDs for Zen 5 CPUs, or at least a few of them, and that’s a tasty hint that development is progressing nicely at AMD with the next-gen silicon.


Analysis: Get ready for Zen 5 versus… Meteor or Arrow Lake?

We may start seeing Zen 5 chips turn up in very early samples perhaps a bit sooner than we thought, although that still won’t be for a good while.

Remember, Zen 5 isn’t due until 2024, so that’s a long way off yet. A couple of months back, we did hear AMD confirm that these next-gen processors are on schedule for being released the year after next, so again, that’s a good sign development is proceeding nicely with Ryzen 8000.

We don’t know much about Zen 5 at this point, except that AMD has promised it’ll include AI-related optimizations, though exactly what that means, Team Red didn’t make clear. While AMD has notably steered clear of using AI with its upscaling tech for Radeon GPUs (FSR), the rumor mill contends that this stance may change in the future, and the company could be set to embrace machine learning in a much bigger way down the line with both GPUs and CPUs, perhaps. We shall see.

Another interesting point here is that Intel’s Meteor Lake, which is the generation to follow the imminent next-gen Raptor Lake, is also in the release notes for this version of HWiNFO, which brings ‘enhanced support’ for these chips which are expected to debut in 2023.

Again, this is a handy hint that things are going well with the Intel silicon that will likely battle Zen 5. (Unless Zen 5 comes out later in 2024, and Intel has managed to pump out its following generation, which will be Arrow Lake – and the latter could be another big step forward for Team Blue, just as Meteor Lake is going to be, finally ushering in 7nm).



from TechRadar: computing components news https://ift.tt/Bf6jaUp
via IFTTT
Related Posts Plugin for WordPress, Blogger...